VerilogHDL扫盲文 VerilogHDL那些事儿_建模篇(vivado) Verilog_HDL_那些事儿_时序篇(verilogmodelsim) VerilogHDL那些事儿-整合篇
2024/9/29 6:19:30 62.14MB FPGA
1
XILINXFPGA数字信号处理权威指南-从HDL到模型和C的描述,高清pdf,配有详细的目录
2024/8/28 10:03:02 103.03MB FPGA Xilinx DSP
1
XILINXFPGA数字信号处理权威指南-从HDL到模型和C的描述,高清pdf,配有详细的目录
2024/8/21 0:11:34 102.69MB FPGA Xilinx DSP
1
测试平台-HDL模型,对初学者写testbench有帮助
2024/8/15 19:37:37 776KB 测试平台-HDL模型
1
modelsim10.7文件及方法。
软件查看另一个分享。
Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。
它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
ModelSim10.7可与QuartusII18.0以及VIVADO2018.X版本无缝连接,并且完美支持最新器件型号,例如ZYNQ以及7的开发等。
并且目前FPGA+ARM的ZYNQ方案较为火热,ModelSim10.7更为改方案提供更加便捷的仿真。
2024/7/12 11:52:50 114B 软件
1
介绍:目录前言2第一章、为什么工程师要掌握FPGA开发知识?5第二章、FPGA基本知识与发展趋势72.1FPGA结构和工作原理72.1.1梦想成就伟业72.1.2FPGA结构82.1.3软核、硬核以及固核的概念152.1.4从可编程器件发展看FPGA未来趋势15第三章、FPGA主要供应商与产品173.1.1赛灵思主要产品介绍17第四章、FPGA开发基本流程294.1典型FPGA开发流程与注意事项294.2基于FPGA的SOC设计方法32基于FPGA的典型SOC开发流程为32第五章、FPGA实战开发技巧335.1FPGA器件选型常识335.1.1器件的供货渠道和开发工具的支持335.1.2器件的硬件资源335.1.3电气接口标准345.1.4器件的速度等级355.1.5器件的温度等级355.1.6器件的封装355.1.7器件的价格355.2如何进行FPGA设计早期系统规划365.3.综合和仿真技巧375.3.1综合工具XST的使用375.3.2基于ISE的仿真425.3.3和FPGA接口相关的设置以及时序分析455.3.4综合高手揭秘XST的11个技巧515.4大规模设计带来的综合和布线问题525.5FPGA相关电路设计知识54FPGA开发全攻略—工程师创新设计宝典上册基础篇5.5.1配置电路545.5.2主串模式——最常用的FPGA配置模式565.5.3SPI串行Flash配置模式585.5.4从串配置模式625.5.5JTAG配置模式635.5.6SystemACE配置方案645.6大规模设计的调试经验685.6.1ChipScopePro组件应用实例685.7FPGA设计的IP和算法应用745.7.1IP核综述745.7.2FFTIP核应用示例755.8赛灵思FPGA的专用HDL开发技巧795.8.1赛灵思FPGA的体系结构特点795.8.2赛灵思FPGA芯片专用代码风格79ISE与EDK开发技巧之时序篇835.10新一代开发工具ISEDesignSuit10.1介绍855.10.1ISEDesignSuit10.1综述855.10.2ISEDesignSuit10.1的创新特性855.11ISE与第三方软件的配合使用技巧925.11.1SynplifyPro软件的使用925.11.2ModelSim软件的使用995.11.3SynplifyPro、ModelSim和ISE的联合开发流程1045.11.4ISE与MATLAB的联合使用1055.12征服FPGA低功耗设计的三个挑战1085.13高手之路——FPGA设计开发中的进阶路线111附录一、FPGA开发资源总汇112附录二、编委信息与后记113附录三、版权声明114
1
SystemGenerator通过将Xilinx开发的一些模块嵌入到Simulink的库中,可以在Simulink中进行定点仿真,可以设置定点信号的类型,这样就可以比较定点仿真与浮点仿真的区别。
并且可以生成HDL文件,或者网表,可以在ISE中进行调用。
或者直接生成比特流下载文件。
能够加快DSP系统的开发进度。
2024/3/21 4:32:49 14.14MB System Generator DSP 教程
1
本人花了300块钱购买的图像处理教程-带开发版。
保证是一手资料,在别处你指定找不到。
7.HDL-VIPCMOS视频图像算法处理.................................................1087.1.Bingo版HDL-VIP时序约定.......................................................1087.1.1.VIP_Image_Processor接口约定............................................1087.1.2.VIP_Image_Processor时序约定............................................1117.2.【VGA】RGB888转YCbCr444算法的HDL-VIP实现..........1127.2.1.RGB888转YCbCr介绍........................................................1127.2.2.RGB888转YCbCr的HDL实现..........................................1137.2.3.RGB888转YCbCr功能测试................................................1187.3.【VGA】YCbCr422转RGB888的HDL-VIP实现..................1217.3.1.ITU-RBT.656格式简说.......................................................1217.3.2.YUV/YCbCr视频格式简说..................................................1237.3.3.YUV422格式的配置与拼接捕获.........................................1247.3.4.YUV422转YUV444的HDL-VIP实现..............................1257.3.5.YUV444转RGB888的HDL-VIP实现...............................1287.3.6.YCbCr422转RGB888功能测试..........................................1327.4.【USB】RGB888转Gray灰度的HDL-VIP实现.....................1357.5.【USB】YCbCr422转Gray灰度HDL-VIP实现.....................1377.6.【USB】灰度图像的均值滤波算法的HDL-VIP实现..............1387.6.1.均值滤波算法介绍.................................................................1387.6.2.3*3像素阵列的HDL实现...................................................138既然选择了HDL-VIP,便不顾风雨兼程,一路走下去……7.6.3.Mean_Filter均值滤波算法的实现........................................1447.7.【USB】灰度图像的中值滤波算法的HDL-VIP实现..............1497.7.1.中值/均值滤波对比...............................................................1497.7.2.中值滤波算法的HDL实现..................................................1507.8.【USB】灰度图像的Sobel边缘检测算法的HDL-VIP实现...1577.8.1.边缘检测算法介绍.................................................................1577.8.2.Sobel边缘检测算法研究......................
2024/2/9 13:02:26 10.38MB fpga 图像处理 视频处理
1
从零开始学cpld和verilog+hdl编程技术,入门的可以下载
2024/1/16 18:25:48 35.87MB verilog
1
基于VHDL的数字闹钟设计随着EDA技术的发展和应用领域的扩大与深入EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出。
EDA技术就是以计算机为工具设计者在EDA软件平台上用硬件描述语言HDL完成设计文件然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
本设计介绍了基于VHDL硬件描述语言设计的多功能数字闹钟的思路和技巧并在QuartusII开发环境中编译和仿真所设计的程序并逐一调试验证程序的运行状况。
仿真和验证的结果表明该设计方法切实可行该数字闹钟可以实现调时定时闹钟功能具有一定的实际应用性。
2023/12/24 22:43:21 5.04MB VHDL
1
共 30 条记录 首页 上一页 下一页 尾页
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡