很好的modelsim教程,适合初学者快速入门还有介绍alteraFPGA的modelsim仿真免费的资料到哪里去找还不快下载!
2024/6/7 3:45:09 2.95MB modelsim verilog vhdl 仿真
1
这是基于verilog做的ARINC429通信设计的软件部分,可以实现实时收发,最多可以传送32位数据。
2023/9/8 17:09:57 8.25MB Verilog VHDL ARINC429 FPGA
1
nexys3开拓板的实例教程,搜罗verilogVHDL代码以及UCF文件代码以及ISE使用流程,适宜低级人员入门学习从最约莫的二输入末了
2023/5/13 6:05:22 1.66MB xilinx FPGA ISE nexys3
1
本代码为在Vivado2017.4版本下基于FPGA开发的产生简单波形信号VerilogVHDL代码,波形包括正余弦波,方波,锯齿波,三角波,2PSK,2ASK,FM,AM四种调制信号,是运用DDSIP核产生的,项目可以在Vivado2017.4软件上直接打开,可以仿真看波形。
实测全部可用。
2019/6/23 18:13:37 45.98MB FPGA Verilo Vivado
1
eda技术verilog:次要讲解verilogvhdl语言的语法,的结构。
可以用于开发相应的硬件结构,这是课间件。
2016/4/17 19:14:29 17.9MB 文档 书籍
1
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡