多功能数字钟代码基于Basys2实验板ISE开发环境verilog编程语言实验已经下载验证通过
1
基于FPGA的数字时钟(可调时),切换显示模式,BASYS2
2024/4/12 10:01:47 9KB FPGA 数字时钟 BASYS2
1
数字电路实验自己选的大作业基本功能:数码管显示当前余额投入五角的硬币和一元的硬币有三种饮料:可乐2元,茶3元,牛奶3.5元,每种饮料初始存货有限,共有5瓶。
并且能用LED灯看出饮料的存货剩余。
当没有存货时,当前饮料对应的灯灭。
当购买相应饮料时,数码管显示购买后的余额。
当饮料存货不足但仍点击购买时,数码管闪烁“FFF.F”2秒左右,不再购买点击确定键可以看到当前余额。
当余额不足时:数码管闪烁当前饮料的价格2秒左右,不再购买点击确定键可以看到当前余额。
找零功能:找零时,先显示当前余额,再次点击数码管显示余额为0;
2024/3/31 12:47:23 680KB verilo basys2 fpga 自动售货机
1
本系统采用Basys2板为控制主板,用ps2键盘控制打地鼠,VGA显示开机画面,地鼠的出现和等级,失败和胜利画面。
每个难度级别对应不同背景音乐,用蜂鸣器播放。
用数码管显示当前的分数、命数,并记录最高分。
可以直接下板使用。
2024/2/2 18:46:21 21.38MB FPG 打死鼠游
1
basys2的简单原理,适用于刚入门的硬件学习者使用,总的还行
2023/10/28 11:26:50 1.02MB FPGA
1
在basys2板上实现数字钟,利用板上的微动开关作时钟的调整,LED的闪烁作整点报时,12/24小时显示切换,闹铃功能,清零功能,内含代码可运行,含有详细注释
2023/9/17 18:52:35 393KB FPGA 数字钟 Verilog 代码
1
基于Verilog语言(Basys2板)实现的蓝牙通信红外寻迹与避障的智能控制小车
2023/5/15 19:55:41 1.99MB 蓝牙寻迹避障
1
基于basys2的12进制计数器,已经运行经由,用vhdl语言编写,开拓软件为ISE
2023/3/25 1:38:11 837KB vhdl 12进制计数器 basys2板卡
1
用verilog写患上basys2的弹珠小游戏
2023/3/15 0:17:47 1.07MB verilog basys2
1
使用Verilog自顶向下设计60进制计数器(例子为1Hz,可修正频率),并用数码管动态显示,已在Basys2开发板验证通过。
1
共 14 条记录 首页 上一页 下一页 尾页
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡