Xilinx_constraints.pdfXilinx公司对高速PCB信号的优化设计.pdf大型设计中FPGA的多时钟设计策略.pdf关于maoci的讨论和可靠性有关的几个概念.doc华为静态时序分析与逻辑设计.pdf经典时序.pdf静态时序分析(StaticTimingAnalysis)基础与应用.pdf时序分析之1静态分析基础.pdf时序分析之2Timequest教程.pdf时序分析之3优化策略.pdf同步电路设计中CLOCKSKEW的分析.doc系统时序基础理论.pdf
2020/2/2 15:38:16 10.13MB 时序设计
1
Xilinx官方翻译的《FPGA并行编程》,本书以10个数字信号处理为例,带我们了解HLS如何使C代码并行运行,深入浅出的将HLS实现方法,硬件设计的考虑以及系统优化都一一介绍。
本书可以在小白仓库微信公众号号免费下载,还可以在Xilinx学术合作找到相应的下载链接。
本人还制作了该书的读书笔记,详情请见《FPGA并行编程》读书笔记专栏启动说明:https://blog.csdn.net/qq_35712169/article/details/99738006。
本书将着重介绍高层次综合(HLS)算法的使用并以此完成一些比较具体、细分的FPGA应用。
我们的目的是让读者认识到用HLS创造并优化硬件设计的好处。
当然,FPGA的并行编程肯定是有别于在多核处理器、GPU上实行的并行编程,但是一些最关键的概念是相似的,例如,设计者必须充分理解内存层级和带宽、空间局部性与时间局部性、并行结构和计算与存储之间的取舍与平衡。
本书将更多的作为一个实际应用的向导,为那些对于研发FPGA系统有兴味的读者提供帮助。
对于大学教育来说,这本书将更适用于高阶的本科课程或研究生课程,同时也对应用系统设计师和嵌入式程序员有所帮助。
我们不会对C/C++方面的知识做过多的阐述,而会以提供很多的代码的方式作为示范。
另外,读者需要对基本的计算机架构有所熟悉,例如流水线(pipeline),加速,阿姆达尔定律(Amdahl'sLaw)。
以寄存器传输级(RTL)为基础FPGA设计知识并不是必需的,但会对理解本书有所帮助。
2021/1/2 21:22:39 20.02MB FPGA HLS C++ Xilinx
1
自己阅读XILINX FFT IP核整理的中文文档快速傅里叶变换v9.0IP核指南——Vivado设计套件引见:XilinxFFTIP核是一种计算DFT的有效方式。
特点:•前向变换(FFT)和反向变换(IFFT)在复数空间,并且可以在运行的同时进行选择配置•变换点数范围:N=2^m,m=3~16•数据精度范围:b_x=8~34•相位精度范围:b_w=8~34•算术处理方式:不放缩(全精度)定点放缩定点块浮点•输入数据定点数类型和浮点数类型•舍入或者截尾•数据和相位存储:块RAM和分布式RAM•运行时可配置变换点数•放缩定点时放缩方案在运行时可实时配置•输出数据顺序:自然顺序和比特或字节反转顺序•数字通信系统应用中插入CP选项•四种传输方式:流水线基四突发型基二突发型简化基二突发型•输入输出都由AXI4-Stream协议控制•丰富的状态接口(eventsignals)•可选择实时和非实时模式•优化选项:复数乘法器模式蝶形运算结构•多通道同时进行变换运算:通道数范围1~12
2019/9/10 14:34:52 57KB FFT IP核
1
在vivado2017.4中应用MIG模块生成的DDR3实例的约束文件,开发板为ZYNQ7350,采用Xilinx公司的Zynq7000系列的芯片,型号为XC7Z035-2FFG676。
2021/7/18 4:39:52 11KB FPGA DDR3 约束文件 ax7350
1
verilogRTL级代码编写指点(20篇精华文章)目录:ActelHDLCodingStyleGuide;
AdvancedHigh-levelHDLDesignTechniquesforProgrammableLogic;
DesigningSafeVerilogStateMachineswithSynplify;
fpga优秀设计的十条戒律;
GuidetoHDLCodingStylesforSynthesis;
IEEEP1364.1_IEEEStandardforVerilogRegisterTransferLevelSynthesis;
IEEEP1364.1D1.4_DraftStandardforVerilogRTLSynthesis;
NonblockingAssignmentsinVerilogSynthesis,CodingStylesThatKill!;
PracticalFSMAnalysisforVerilog;
Re-timingforPerformanceImprovementinFPGADesigns;
RTLCodingStylesThatYieldSimulationandSynthesisMismatches;
StateMachineCodingStylesforSynthesis;
StatemachinedesigntechniquesforVerilogandVHDL;
SynthesisandSimulationDesignGuide;
TheVerilogGoldenReferenceGuide;
VerilogCodingStyleforEfficientDigitalDesign;
VerilogHDLCoding(Motorola);
VerilogHDLSynthesisAPracticalPrimer;
Xilinx:HDLCodingStyle;
可综合的Verilog语法(剑桥大学,影印)。
2015/4/19 22:41:25 9.59MB verilog RTL
1
FPGAXC6SLX16DDR3开发板PDF原理图+XILINX逻辑例程+开发板文档材料,,包括LED,Key,CP2102_UARTddr3,ADV7123等FPGA逻辑例程工程文件,开发板材料及相关主要器件技术手册等。
1
本书以应用和系统设计为基调,结合大量的设计范例,全面细致地介绍ISE10.1设计工具以及辅助工具的使用;新一代的Spartan-3E、Spartan-3A/3AN和Virtex-5等的结构原理、功能特点与设计方法,重点介绍了FPGA/CPLD在数字系统设计、嵌入式处理器设计、高速串行数据通信等方面的应用。
2020/4/14 9:43:40 66.4MB Xilinx
1
xilinx公司的加法器核.7z
2020/6/4 8:20:18 1KB xilinx公司的加法器核.7z
1
Xilinx提供的高速多形态编码8b_10b编码器.7z
2016/8/26 19:29:09 96KB Xilinx提供的高速多状态编
1
在FPGA实现的软核ARMCortexM3代码.FPGA运用的是xilinx家的开发工具vivado和keil支持debug调试。
2017/7/17 22:01:04 4.86MB CortexM4 ARM FPGA xilinx
1
共 131 条记录 首页 上一页 下一页 尾页
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡