目录译者序前言第1章简介 11.1什么是VerilogHDL? 11.2历史 11.3主要能力 1第2章HDL指南 42.1模块 42.2时延 52.3数据流描述方式 52.4行为描述方式 62.5结构化描述形式 82.6混合设计描述方式 92.7设计模拟 10第3章Verilog语言要素 143.1标识符 143.2注释 143.3格式 143.4系统任务和函数 153.5编译指令 153.5.1`define和`undef 153.5.2`ifdef、`else和`endif 163.5.3`default_nettype 163.5.4`include 163.5.5`resetall 163.5.6`timescale 163.5.7`unconnected_drive和`nounconnected_drive 183.5.8`celldefine和`endcelldefine 183.6值集合 183.6.1整型数 183.6.2实数 193.6.3字符串 203.7数据类型 203.7.1线网类型 203.7.2未说明的线网 233.7.3向量和标量线网 233.7.4寄存器类型 233.8参数 26第4章表达式 284.1操作数 284.1.1常数 284.1.2参数 294.1.3线网 294.1.4寄存器 294.1.5位选择 294.1.6部分选择 294.1.7存储器单元 304.1.8函数调用 304.2操作符 304.2.1算术操作符 314.2.2关系操作符 334.2.3相等关系操作符 334.2.4逻辑操作符 344.2.5按位操作符 354.2.6归约操作符 364.2.7移位操作符 364.2.8条件操作符 374.2.9连接和复制操作 374.3表达式种类 38第5章门电平模型化 395.1内置基本门 395.2多输入门 395.3多输出门 415.4三态门 415.5上拉、下拉电阻 425.6MOS开关 425.7双向开关 445.8门时延 445.9实例数组 455.10隐式线网 455.11简单示例 465.122-4解码器举例 465.13主从触发器举例 475.14奇偶电路 47第6章用户定义的原语 496.1UDP的定义 496.2组合电路UDP 496.3时序电路UDP 506.3.1初始化状态寄存器 506.3.2电平触发的时序电路UDP 506.3.3边沿触发的时序电路UDP 516.3.4边沿触发和电平触发的混合行为 516.4另一实例 526.5表项汇总 52第7章数据流模型化 547.1连续赋值语句 547.2举例 557.3线网说明赋值 557.4时延 557.5线网时延 577.6举例 577.6.1主从触发器 577.6.2数值比较器 58第8章行为建模 598.1过程结构 598.1.1initial语句 598.1.2always语句 618.1.3两类语句在模块中的使用 628.2时序控制 638.2.1时延控制 638.2.2事件控制 648.3语句块 658.3.1顺序语句块 668.3.2并行语句块 678.4过程性赋值 688.4.1语句内部时延 698.4.2阻塞性过程赋值 708.4.3非阻塞性过程赋值 718.4.4连续赋值与过程赋值的比较 728.5if语句 738.6case语句 748.7循环语句 768.7.1forever循环语句 768.7.2repeat循环语句 768.7.3while循环语句 778.7.4for循环语句 778.8过程性连续赋值 788.8.
2024/11/28 20:22:43 4.72MB verilog
1
VerilogHDL扫盲文 VerilogHDL那些事儿_建模篇(vivado) Verilog_HDL_那些事儿_时序篇(verilogmodelsim) VerilogHDL那些事儿-整合篇
2024/9/29 6:19:30 62.14MB FPGA
1
XILINXFPGA数字信号处理权威指南-从HDL到模型和C的描述,高清pdf,配有详细的目录
2024/8/28 10:03:02 103.03MB FPGA Xilinx DSP
1
XILINXFPGA数字信号处理权威指南-从HDL到模型和C的描述,高清pdf,配有详细的目录
2024/8/21 0:11:34 102.69MB FPGA Xilinx DSP
1
测试平台-HDL模型,对初学者写testbench有帮助
2024/8/15 19:37:37 776KB 测试平台-HDL模型
1
modelsim10.7文件及方法。
软件查看另一个分享。
Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。
它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
ModelSim10.7可与QuartusII18.0以及VIVADO2018.X版本无缝连接,并且完美支持最新器件型号,例如ZYNQ以及7的开发等。
并且目前FPGA+ARM的ZYNQ方案较为火热,ModelSim10.7更为改方案提供更加便捷的仿真。
2024/7/12 11:52:50 114B 软件
1
介绍:目录前言2第一章、为什么工程师要掌握FPGA开发知识?5第二章、FPGA基本知识与发展趋势72.1FPGA结构和工作原理72.1.1梦想成就伟业72.1.2FPGA结构82.1.3软核、硬核以及固核的概念152.1.4从可编程器件发展看FPGA未来趋势15第三章、FPGA主要供应商与产品173.1.1赛灵思主要产品介绍17第四章、FPGA开发基本流程294.1典型FPGA开发流程与注意事项294.2基于FPGA的SOC设计方法32基于FPGA的典型SOC开发流程为32第五章、FPGA实战开发技巧335.1FPGA器件选型常识335.1.1器件的供货渠道和开发工具的支持335.1.2器件的硬件资源335.1.3电气接口标准345.1.4器件的速度等级355.1.5器件的温度等级355.1.6器件的封装355.1.7器件的价格355.2如何进行FPGA设计早期系统规划365.3.综合和仿真技巧375.3.1综合工具XST的使用375.3.2基于ISE的仿真425.3.3和FPGA接口相关的设置以及时序分析455.3.4综合高手揭秘XST的11个技巧515.4大规模设计带来的综合和布线问题525.5FPGA相关电路设计知识54FPGA开发全攻略—工程师创新设计宝典上册基础篇5.5.1配置电路545.5.2主串模式——最常用的FPGA配置模式565.5.3SPI串行Flash配置模式585.5.4从串配置模式625.5.5JTAG配置模式635.5.6SystemACE配置方案645.6大规模设计的调试经验685.6.1ChipScopePro组件应用实例685.7FPGA设计的IP和算法应用745.7.1IP核综述745.7.2FFTIP核应用示例755.8赛灵思FPGA的专用HDL开发技巧795.8.1赛灵思FPGA的体系结构特点795.8.2赛灵思FPGA芯片专用代码风格79ISE与EDK开发技巧之时序篇835.10新一代开发工具ISEDesignSuit10.1介绍855.10.1ISEDesignSuit10.1综述855.10.2ISEDesignSuit10.1的创新特性855.11ISE与第三方软件的配合使用技巧925.11.1SynplifyPro软件的使用925.11.2ModelSim软件的使用995.11.3SynplifyPro、ModelSim和ISE的联合开发流程1045.11.4ISE与MATLAB的联合使用1055.12征服FPGA低功耗设计的三个挑战1085.13高手之路——FPGA设计开发中的进阶路线111附录一、FPGA开发资源总汇112附录二、编委信息与后记113附录三、版权声明114
1
SystemGenerator通过将Xilinx开发的一些模块嵌入到Simulink的库中,可以在Simulink中进行定点仿真,可以设置定点信号的类型,这样就可以比较定点仿真与浮点仿真的区别。
并且可以生成HDL文件,或者网表,可以在ISE中进行调用。
或者直接生成比特流下载文件。
能够加快DSP系统的开发进度。
2024/3/21 4:32:49 14.14MB System Generator DSP 教程
1
本人花了300块钱购买的图像处理教程-带开发版。
保证是一手资料,在别处你指定找不到。
7.HDL-VIPCMOS视频图像算法处理.................................................1087.1.Bingo版HDL-VIP时序约定.......................................................1087.1.1.VIP_Image_Processor接口约定............................................1087.1.2.VIP_Image_Processor时序约定............................................1117.2.【VGA】RGB888转YCbCr444算法的HDL-VIP实现..........1127.2.1.RGB888转YCbCr介绍........................................................1127.2.2.RGB888转YCbCr的HDL实现..........................................1137.2.3.RGB888转YCbCr功能测试................................................1187.3.【VGA】YCbCr422转RGB888的HDL-VIP实现..................1217.3.1.ITU-RBT.656格式简说.......................................................1217.3.2.YUV/YCbCr视频格式简说..................................................1237.3.3.YUV422格式的配置与拼接捕获.........................................1247.3.4.YUV422转YUV444的HDL-VIP实现..............................1257.3.5.YUV444转RGB888的HDL-VIP实现...............................1287.3.6.YCbCr422转RGB888功能测试..........................................1327.4.【USB】RGB888转Gray灰度的HDL-VIP实现.....................1357.5.【USB】YCbCr422转Gray灰度HDL-VIP实现.....................1377.6.【USB】灰度图像的均值滤波算法的HDL-VIP实现..............1387.6.1.均值滤波算法介绍.................................................................1387.6.2.3*3像素阵列的HDL实现...................................................138既然选择了HDL-VIP,便不顾风雨兼程,一路走下去……7.6.3.Mean_Filter均值滤波算法的实现........................................1447.7.【USB】灰度图像的中值滤波算法的HDL-VIP实现..............1497.7.1.中值/均值滤波对比...............................................................1497.7.2.中值滤波算法的HDL实现..................................................1507.8.【USB】灰度图像的Sobel边缘检测算法的HDL-VIP实现...1577.8.1.边缘检测算法介绍.................................................................1577.8.2.Sobel边缘检测算法研究......................
2024/2/9 13:02:26 10.38MB fpga 图像处理 视频处理
1
从零开始学cpld和verilog+hdl编程技术,入门的可以下载
2024/1/16 18:25:48 35.87MB verilog
1
共 31 条记录 首页 上一页 下一页 尾页
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡