CycloneIVEP4CE6F17C8FPGA开放板硬件设计文件AD版原理图+PCB(4层板),采用4层板设计,板子大小为130x90mm,双面规划布线,FPGA芯片为CycloneIV系列中的EP4CE6F17C8,主要器件包括SDRMHY57V2562GTR,24LC04,PL2303,VGA接口,SD卡座,实时时钟DS1302,6位数码管等。
AltiumDesigner设计的工程文件,包括完整的原理图及PCB文件,可以用Altium(AD)软件打开或修改,可作为你产品设计的参考。
1
这是用Java编写的一个简单的银行转账系统,包括取款,存款,转账等功能,其中用到了数据库的连接,采用Eclipse编写,包含数据库的设计文件。
非常适合有一定基础的Java初学者使用。
packagecom.gujunjia.bank;/**Tochangethistemplate,chooseTools|Templates*andopenthetemplateintheeditor.*/importjava.sql.*;/****@authorgujunjia*/publicclassDataBase{ staticConnectionconn; staticPreparedStatementst; staticResultSetrs; /** *加载驱动 */ publicstaticvoidloadDriver() { try { Class.forName("com.mysql.jdbc.Driver"); } catch(ClassNotFoundExceptione) { System.out.println("加载驱动失败"); } } /** *创建数据库的连接 * *@paramdatabase *需要访问的数据库的名字 */ publicstaticvoidconnectionDatabase(Stringdatabase) { try { Stringurl="jdbc:mysql://localhost:3306/"+database; Stringusername="root"; Stringpassword="gujunjia"; conn=DriverManager.getConnection(url,username,password); } catch(SQLExceptione) { System.out.println(e.getMessage()); } } /** *关闭数据库连接 */ publicstaticvoidcloseConnection() { if(rs!=null) {//关闭记录集 try { rs.close(); } catch(SQLExceptione) { e.printStackTrace(); } } if(st!=null) {//关闭声明 try { st.close(); } catch(SQLExceptione) { e.printStackTrace(); } } if(conn!=null) {//关闭连接对象 try { conn.close(); } catch(SQLExceptione) { e.printStackTrace(); } } }}packagecom.gujunjia.bank;/**Tochangethistemplate,chooseTools|Templates*andopenthetemplateintheeditor.*/importjava.awt.*;importjava.awt.event.*;importjavax.swing.*;/***本类次要实现整个系统的界面**@authorgujunjia*/publicclassMainFrameextendsJFrameimplementsActionListener,FocusListener{ /** * */ privatestaticfinallongserialVersionUID=1L; publicstaticStringuserId; JTextFielduserIdText; JPasswordFieldpasswordText; JButtonregisterButton; JButtonlogInButton; publicMainFrame() { super("个人银行系统
2020/11/9 14:44:46 132KB Java 银行转账
1
操作系统课程设计文件系统,已经提供源码及报告,高度模仿Linux命令行
2019/1/5 23:33:28 1005KB os FileSystem
1
NXPMK60DN512单片机双网口KS8995M交换机板原理图+PCB+封装库+BOM文件,采用6层板设计,板子大小为93x87mm,单面规划双面布线,CPU为MK60N512VMD100,BGA144封装,交换机芯片KS8995M,其他主要器件有MIC29302,LM4871M,74LVC4245,继电器IM01GR-3VDC等。
包括完整的BOM料单设计文件。
AltiumDesigner设计的工程文件,包括完整无误的原理图、PCB、BOM文件,可以用Altium(AD)软件打开或修改,已经制板并在实际项目中使用,可作为你产品设计的参考。
1
microMouse--迷宫电脑鼠完整pcb设计文件,基于Altiumdesigner制造,包含原理图和pcb。
2020/4/17 11:10:48 1.77MB microMouse maze pcb altium
1
是计算机网络的中小型网络工程设计与实践课程设计,里面包括了设计文件和曾经完成的论文,欢迎下载
2016/4/1 1:45:30 199KB computer net
1
音乐节拍器实现有使用程序还包含设计文件及源码和相关数据库及相关音符乐理知识,有java和android与c#及c++四种版本
2019/10/16 14:19:04 175KB 音乐节拍器编码实现
1
ZedBoard完好设计文件,AD设计文件,包括原理图和PCB设计文件。
2018/11/13 13:49:02 1.41MB Altium 完整设计文件 原理图 PCB
1
使用RTL8305NB的一款3口交换机,文档包括原理图,PCB设计文件(PADS格式),CAM输出文件,BOM元件明细,已通过制造样品测试,能满足基本要求。
参照源厂原理可最多可做5口交换机。
1
100例电子制造PROTEL99SE硬件原理图及PCB工程设计文件,Protel99se设计的DDB后缀项目工程文件,包括原理图PCB文件,可用Protel或AltiumDesigner(AD)软件打开或修改,可作为你产品设计的参考。
1
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡