首页     /    【SystemVerilog】路科验证V2学习笔记(全600页).pdf

【SystemVerilog】路科验证V2学习笔记(全600页).pdf

上传者: weixin_44857476 | 上传时间:2022/10/19 15:18:43 | 文件大小:47.25MB | 文件类型:PDF
【SystemVerilog】路科验证V2学习笔记(全600页).pdf
SystemVerilog的听课学习笔记,包括讲义截取、知识点记录、注意事项等细节的标注。
目录如下:第一章SV环境构建常识 1 1.1数据类型 1 四、二值逻辑 4 定宽数组 9 foreach 13 动态数组 16 队列 19 关联数组 21 枚举类型 23 字符串 25 1.2过程块和方法 27 initial和always 30 function逻辑电路 33 task时序电路 35 动态静态变量 39 1.3设计例化和连接 45第二章验证的方法 393 动态仿真 395 静态检查 397 虚拟模型 403 硬件加速 405 效能验证 408 功能验证 410第三章SV组件实现 99 3.1接口 100 什么是interface 101 接口的优势 108 3.2采样和数据驱动 112 竞争问题 113 接口中的时序块clocking 123 利于clocking的驱动 133 3.3测试的开始和结束 136 仿真开始 139 program隐式结束 143 program显式结束 145 软件域program 147 3.4调试方法 150第四章验证的计划 166 4.1计划概述 166 4.2计划的内容 173 4.3计划的实现 185 4.4计划的进程评估 194第五章验证的管理 277 6.1验证的周期检查 277 6.2管理三要素 291 6.3验证的收敛 303 6.4问题追踪 314 6.5团队建设 321 6.6验证的专业化 330第六章验证平台的结构 48 2.1测试平台 49 2.2硬件设计描述 55 MCDF接口描述 58 MCDF接口时序 62 MCDF寄存器描述 65 2.3激励发生器 67 channelinitiator 72 registerinitiator 73 2.4监测器 74 2.5比较器 81 2.6验证结构 95第七章激励发生封装:类 209 5.1概述 209 5.2类的成员 233 5.3类的继承 245 三种类型权限protected/local/public 247 thissuper 253 成员覆盖 257 5.4句柄的使用 263 5.5包的使用 269第八章激励发生的随机化 340 7.1随机约束和分布 340 权重分布 353 条件约束 355 7.2约束块控制 358 7.3随机函数 366 7.4数组约束 373 7.5随机控制 388第九章线程与通信 432 9.1线程的使用 432 9.2线程的控制 441 三个fork...join 443 等待衍生线程 451 停止线程disable 451 9.3线程的通信 458第十章进程评估:覆盖率 495 10.1覆盖率类型 495 10.2功能覆盖策略 510 10.3覆盖组 516 10.4数据采样 524 10.5覆盖选项 544 10.6数据分析 550第十一章SV语言核心进阶 552 11.1类型转换 552 11.2虚方法 564 11.3对象拷贝 575 11.4回调函数 584 11.5参数化的类 590第十二章UVM简介 392 8.2UVM简介 414 8.3UVM组件 420 8.4UVM环境 425

文件下载

资源详情

mVerilog】路科验证V2学习笔记(全600页).pdf-cite-

评论信息

  • sinat_57520877:
    挺不错的,很有用,可以拿来学习,帮助很大2021-05-25
  • TimZhou:
    太感谢了,很及时,很给力2021-04-06

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明