首页 开发技术 硬件开发     /    基于FPGA的verilog语言的四位全加器

基于FPGA的verilog语言的四位全加器

上传者: qszxzyj | 上传时间:2023/10/3 8:32:45 | 文件大小:133KB | 文件类型:RAR
基于FPGA的verilog语言的四位全加器
可以实现两个四位数相加的电路

文件下载

资源详情

[{"title":"(74个子文件133KB)基于FPGA的verilog语言的四位全加器","children":[{"title":"add1","children":[{"title":"src","children":[{"title":"add1_top.v.bak <span style='color:#111;'>498B</span>","children":null,"spread":false},{"title":"add1.v <span style='color:#111;'>167B</span>","children":null,"spread":false},{"title":"add1.v.bak <span style='color:#111;'>167B</span>","children":null,"spread":false},{"title":"add1_top.v <span style='color:#111;'>515B</span>","children":null,"spread":false}],"spread":true},{"title":"sim","children":[{"title":"add1_top_tb.v <span style='color:#111;'>253B</span>","children":null,"spread":false},{"title":"add1_top_tb.v.bak <span style='color:#111;'>44B</span>","children":null,"spread":false}],"spread":true},{"title":"prj","children":[{"title":"add1_top.qsf <span style='color:#111;'>3.93KB</span>","children":null,"spread":false},{"title":"add1_top.qws <span style='color:#111;'>1.82KB</span>","children":null,"spread":false},{"title":"db","children":[{"title":"add1_top_partition_pins.json <span style='color:#111;'>369B</span>","children":null,"spread":false},{"title":"add1_top.hier_info <span style='color:#111;'>1.13KB</span>","children":null,"spread":false},{"title":"add1_top.smart_action.txt <span style='color:#111;'>8B</span>","children":null,"spread":false},{"title":"add1_top.map.ammdb <span style='color:#111;'>129B</span>","children":null,"spread":false},{"title":"add1_top.db_info <span style='color:#111;'>140B</span>","children":null,"spread":false},{"title":"add1_top.map_bb.cdb <span style='color:#111;'>1.97KB</span>","children":null,"spread":false},{"title":"add1_top.pre_map.cdb <span style='color:#111;'>3.05KB</span>","children":null,"spread":false},{"title":"add1_top.(1).cnf.hdb <span style='color:#111;'>952B</span>","children":null,"spread":false},{"title":"add1_top.pre_map.hdb <span style='color:#111;'>11.05KB</span>","children":null,"spread":false},{"title":"add1_top.map.cdb <span style='color:#111;'>2.55KB</span>","children":null,"spread":false},{"title":"add1_top.cmp.rdb <span style='color:#111;'>4.24KB</span>","children":null,"spread":false},{"title":"add1_top.map.hdb <span style='color:#111;'>10.58KB</span>","children":null,"spread":false},{"title":"add1_top.cbx.xml <span style='color:#111;'>90B</span>","children":null,"spread":false},{"title":"add1_top.map.qmsg <span style='color:#111;'>5.40KB</span>","children":null,"spread":false},{"title":"add1_top.lpc.rdb <span style='color:#111;'>489B</span>","children":null,"spread":false},{"title":"add1_top.sld_design_entry.sci <span style='color:#111;'>223B</span>","children":null,"spread":false},{"title":"add1_top.map_bb.hdb <span style='color:#111;'>9.83KB</span>","children":null,"spread":false},{"title":"add1_top.(1).cnf.cdb <span style='color:#111;'>1.60KB</span>","children":null,"spread":false},{"title":"add1.map_bb.logdb <span style='color:#111;'>4B</span>","children":null,"spread":false},{"title":"add1_top.sld_design_entry_dsc.sci <span style='color:#111;'>223B</span>","children":null,"spread":false},{"title":"add1_top.rtlv.hdb <span style='color:#111;'>10.97KB</span>","children":null,"spread":false},{"title":"add1_top.map.bpm <span style='color:#111;'>554B</span>","children":null,"spread":false},{"title":"add1_top.lpc.html <span style='color:#111;'>1.17KB</span>","children":null,"spread":false},{"title":"add1_top.hif <span style='color:#111;'>521B</span>","children":null,"spread":false},{"title":"add1_top.sgate.nvd <span style='color:#111;'>2.08KB</span>","children":null,"spread":false},{"title":"add1_top.rtlv_sg.cdb <span style='color:#111;'>2.12KB</span>","children":null,"spread":false},{"title":"add1_top.sgate_sm.nvd <span style='color:#111;'>240B</span>","children":null,"spread":false},{"title":"add1_top.(0).cnf.hdb <span style='color:#111;'>603B</span>","children":null,"spread":false},{"title":"add1_top.cmp_merge.kpt <span style='color:#111;'>211B</span>","children":null,"spread":false},{"title":"add1_top.lpc.txt <span style='color:#111;'>2.09KB</span>","children":null,"spread":false},{"title":"prev_cmp_add1.qmsg <span style='color:#111;'>7.73KB</span>","children":null,"spread":false},{"title":"add1_top.(0).cnf.cdb <span style='color:#111;'>1.04KB</span>","children":null,"spread":false},{"title":"add1_top.rtlv_sg_swap.cdb <span style='color:#111;'>698B</span>","children":null,"spread":false},{"title":"add1_top.map.kpt <span style='color:#111;'>206B</span>","children":null,"spread":false},{"title":"add1_top.map.rdb <span style='color:#111;'>1.17KB</span>","children":null,"spread":false},{"title":"add1_top.npp.qmsg <span style='color:#111;'>2.60KB</span>","children":null,"spread":false},{"title":"add1_top.ae.hdb <span style='color:#111;'>11.00KB</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.reg_db.cdb <span style='color:#111;'>222B</span>","children":null,"spread":false},{"title":"add1_top.tis_db_list.ddb <span style='color:#111;'>238B</span>","children":null,"spread":false}],"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"add1_top.root_partition.map.kpt <span style='color:#111;'>208B</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.hdb <span style='color:#111;'>9.34KB</span>","children":null,"spread":false},{"title":"add1_top.db_info <span style='color:#111;'>140B</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.cdb <span style='color:#111;'>2.24KB</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.hbdb.hb_info <span style='color:#111;'>46B</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.hbdb.sig <span style='color:#111;'>32B</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.hbdb.hdb <span style='color:#111;'>9.42KB</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.dpi <span style='color:#111;'>667B</span>","children":null,"spread":false},{"title":"add1_top.root_partition.map.hbdb.cdb <span style='color:#111;'>1.56KB</span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'>653B</span>","children":null,"spread":false}],"spread":true},{"title":"simulation","children":[{"title":"modelsim","children":[{"title":"msim_transcript <span style='color:#111;'>3.08KB</span>","children":null,"spread":false},{"title":"rtl_work","children":[{"title":"_lib.qdb <span style='color:#111;'>48.00KB</span>","children":null,"spread":false},{"title":"_lib1_0.qdb <span style='color:#111;'>32.00KB</span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'>1.88KB</span>","children":null,"spread":false},{"title":"_lib1_0.qtl <span style='color:#111;'>20.37KB</span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'>29B</span>","children":null,"spread":false},{"title":"_lib1_0.qpg <span style='color:#111;'>0B</span>","children":null,"spread":false}],"spread":true},{"title":"vsim.wlf <span style='color:#111;'>56.00KB</span>","children":null,"spread":false},{"title":"modelsim.ini <span style='color:#111;'>10.87KB</span>","children":null,"spread":false},{"title":"add1_top_run_msim_rtl_verilog.do.bak <span style='color:#111;'>406B</span>","children":null,"spread":false},{"title":"add1_top_run_msim_rtl_verilog.do <span style='color:#111;'>784B</span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"add1.qpf <span style='color:#111;'>1.29KB</span>","children":null,"spread":false},{"title":"output_files","children":[{"title":"add1_top.map.rpt <span style='color:#111;'>14.93KB</span>","children":null,"spread":false},{"title":"add1_top.map.summary <span style='color:#111;'>680B</span>","children":null,"spread":false},{"title":"add1_top.done <span style='color:#111;'>26B</span>","children":null,"spread":false},{"title":"add1_top.flow.rpt <span style='color:#111;'>7.25KB</span>","children":null,"spread":false}],"spread":true},{"title":"add1_top_nativelink_simulation.rpt <span style='color:#111;'>1.04KB</span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明