首页 开发技术 硬件开发     /    基于FPGA电子琴(verilogHDL)

基于FPGA电子琴(verilogHDL)

上传者: l3086 | 上传时间:2016/4/7 19:07:28 | 文件大小:1KB | 文件类型:qpf
基于FPGA电子琴(verilogHDL)
基于FPGA的中频电子琴通过八个按键来控制发声,外接喇叭或者蜂鸣器可以本人编写曲目来进行演奏

文件下载

资源详情

-硬件开发

评论信息

  • 简单同学:
    怎么就一个qpf文件,其他的都没有啊2018-11-09
  • qq_39314412:
    感觉还可以2018-11-05
  • ZTQ888888888888888:
    还是有点帮助……2016-01-06
  • kitten_123_:
    作用不大,有点失望2015-09-06
  • wangfeixiaowangshu:
    还行吧,对自己学习挺好的2015-07-05

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明