首页 课程资源 专业指导     /    verilog编写的流水线cpu

verilog编写的流水线cpu

上传者: iii_9 | 上传时间:2021/3/27 2:33:05 | 文件大小:12.05MB | 文件类型:rar
verilog编写的流水线cpu
本科组成原理实验课程作业verilog编写的可执行22条指令的流水线CPU,不触及缓存。

文件下载

资源详情

[{"title":"(168个子文件12.05MB)verilog编写的流水线cpu","children":[{"title":"流水线.vsd <span style='color:#111;'>139.00KB</span>","children":null,"spread":false},{"title":"moveLogic.v <span style='color:#111;'>160B</span>","children":null,"spread":false},{"title":"MUXcontrol.v <span style='color:#111;'>423B</span>","children":null,"spread":false},{"title":"cpu.qws <span style='color:#111;'>221B</span>","children":null,"spread":false},{"title":"ALU.v.bak <span style='color:#111;'>1.41KB</span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":" <span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • gxy198715a:
    学习一下流水,不过好久不写verilog了,有点看不懂了。2019-04-01
  • qq_41372200:
    不错,虽然有的细节不完善2018-07-16
  • whm2012whm:
    不错不错,作者辛苦了2016-10-31
  • junmeiji:
    状态机不懂2013-01-04
  • sujubyi:
    有结构图不错~~2012-12-29

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明