首页 开发技术 其它     /    数字密码锁电路设计的VHDL源码

数字密码锁电路设计的VHDL源码

上传者: happyhappyniu | 上传时间:2024/4/15 13:09:26 | 文件大小:502KB | 文件类型:rar
数字密码锁电路设计的VHDL源码
设计一个简单的数字电子密码锁,密码为4位。
功能:1、密码输入:每按下一个键,要求在数码管上显示,并依次左移;
2、密码清除:清除密码输入,并将输入置为”0000”;
3、密码修改:将当前输入设为新的密码;
4、上锁和开锁包括了所有文件

文件下载

资源详情

[{"title":"(96个子文件502KB)数字密码锁电路设计的VHDL源码","children":[{"title":"codelock","children":[{"title":"codelock.pof <span style='color:#111;'>512.20KB</span>","children":null,"spread":false},{"title":"codelock.map.summary <span style='color:#111;'>383B</span>","children":null,"spread":false},{"title":"codelock.done <span style='color:#111;'>26B</span>","children":null,"spread":false},{"title":"codelock.ppl <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"codelock.qws <span style='color:#111;'>3.00KB</span>","children":null,"spread":false},{"title":"codelock.asm.rpt <span style='color:#111;'>7.32KB</span>","children":null,"spread":false},{"title":"codelock.fit.eqn <span style='color:#111;'>30.88KB</span>","children":null,"spread":false},{"title":"cmp_state.ini <span style='color:#111;'>2B</span>","children":null,"spread":false},{"title":"codelock.map.rpt <span style='color:#111;'>34.27KB</span>","children":null,"spread":false},{"title":"qq.vwf <span style='color:#111;'>9.19KB</span>","children":null,"spread":false},{"title":"codelock.cdf <span style='color:#111;'>300B</span>","children":null,"spread":false},{"title":"counter.vhd <span style='color:#111;'>606B</span>","children":null,"spread":false},{"title":"db","children":[{"title":"codelock.cbx.xml <span style='color:#111;'>90B</span>","children":null,"spread":false},{"title":"codelock.cmp.cdb <span style='color:#111;'>32.49KB</span>","children":null,"spread":false},{"title":"codelock(5).cnf.cdb <span style='color:#111;'>1.96KB</span>","children":null,"spread":false},{"title":"codelock(8).cnf.hdb <span style='color:#111;'>524B</span>","children":null,"spread":false},{"title":"codelock.db_info <span style='color:#111;'>151B</span>","children":null,"spread":false},{"title":"codelock_hier_info <span style='color:#111;'>10.58KB</span>","children":null,"spread":false},{"title":"codelock.rtlv.hdb <span style='color:#111;'>11.66KB</span>","children":null,"spread":false},{"title":"codelock.sgdiff.cdb <span style='color:#111;'>8.24KB</span>","children":null,"spread":false},{"title":"codelock(0).cnf.cdb <span style='color:#111;'>2.00KB</span>","children":null,"spread":false},{"title":"codelock(5).cnf.hdb <span style='color:#111;'>1.21KB</span>","children":null,"spread":false},{"title":"codelock.map.hdb <span style='color:#111;'>9.80KB</span>","children":null,"spread":false},{"title":"codelock.(3).cnf.cdb <span style='color:#111;'>3.34KB</span>","children":null,"spread":false},{"title":"codelock(3).cnf.cdb <span style='color:#111;'>3.36KB</span>","children":null,"spread":false},{"title":"codelock(4).cnf.hdb <span style='color:#111;'>1.14KB</span>","children":null,"spread":false},{"title":"codelock(1).cnf.hdb <span style='color:#111;'>630B</span>","children":null,"spread":false},{"title":"codelock(2).cnf.cdb <span style='color:#111;'>1.78KB</span>","children":null,"spread":false},{"title":"codelock(0).cnf.hdb <span style='color:#111;'>793B</span>","children":null,"spread":false},{"title":"codelock.sgdiff.hdb <span style='color:#111;'>12.91KB</span>","children":null,"spread":false},{"title":"codelock.sld_design_entry_dsc.sci <span style='color:#111;'>150B</span>","children":null,"spread":false},{"title":"codelock_cmp.qrpt <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"codelock(9).cnf.cdb <span style='color:#111;'>1.56KB</span>","children":null,"spread":false},{"title":"codelock.rtlv_sg.cdb <span style='color:#111;'>11.00KB</span>","children":null,"spread":false},{"title":"codelock(7).cnf.cdb <span style='color:#111;'>1.65KB</span>","children":null,"spread":false},{"title":"codelock.cmp.hdb <span style='color:#111;'>10.26KB</span>","children":null,"spread":false},{"title":"codelock.rtlv_sg_swap.cdb <span style='color:#111;'>1.23KB</span>","children":null,"spread":false},{"title":"codelock.sgate.rvd <span style='color:#111;'>28.07KB</span>","children":null,"spread":false},{"title":"codelock.signalprobe.cdb <span style='color:#111;'>506B</span>","children":null,"spread":false},{"title":"codelock.map.cdb <span style='color:#111;'>9.27KB</span>","children":null,"spread":false},{"title":"codelock(6).cnf.cdb <span style='color:#111;'>1.07KB</span>","children":null,"spread":false},{"title":"codelock.hif <span style='color:#111;'>2.09KB</span>","children":null,"spread":false},{"title":"codelock.cmp0.ddb <span style='color:#111;'>38.89KB</span>","children":null,"spread":false},{"title":"codelock.(2).cnf.cdb <span style='color:#111;'>1.79KB</span>","children":null,"spread":false},{"title":"codelock.fit.qmsg <span style='color:#111;'>15.65KB</span>","children":null,"spread":false},{"title":"codelock.cmp.tdb <span style='color:#111;'>22.38KB</span>","children":null,"spread":false},{"title":"codelock.tan.qmsg <span style='color:#111;'>82.95KB</span>","children":null,"spread":false},{"title":"codelock(4).cnf.cdb <span style='color:#111;'>3.28KB</span>","children":null,"spread":false},{"title":"codelock.rpp.qmsg <span style='color:#111;'>1.21KB</span>","children":null,"spread":false},{"title":"codelock.syn_hier_info <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"codelock.(2).cnf.hdb <span style='color:#111;'>534B</span>","children":null,"spread":false},{"title":"codelock(2).cnf.hdb <span style='color:#111;'>625B</span>","children":null,"spread":false},{"title":"codelock.(5).cnf.cdb <span style='color:#111;'>1.80KB</span>","children":null,"spread":false},{"title":"codelock(7).cnf.hdb <span style='color:#111;'>694B</span>","children":null,"spread":false},{"title":"codelock(9).cnf.hdb <span style='color:#111;'>690B</span>","children":null,"spread":false},{"title":"codelock.(0).cnf.cdb <span style='color:#111;'>2.18KB</span>","children":null,"spread":false},{"title":"codelock.(0).cnf.hdb <span style='color:#111;'>961B</span>","children":null,"spread":false},{"title":"codelock(1).cnf.cdb <span style='color:#111;'>1.03KB</span>","children":null,"spread":false},{"title":"codelock.(1).cnf.hdb <span style='color:#111;'>690B</span>","children":null,"spread":false},{"title":"codelock.pre_map.cdb <span style='color:#111;'>10.28KB</span>","children":null,"spread":false},{"title":"codelock.hier_info <span style='color:#111;'>11.99KB</span>","children":null,"spread":false},{"title":"codelock.eco.cdb <span style='color:#111;'>156B</span>","children":null,"spread":false},{"title":"codelock(6).cnf.hdb <span style='color:#111;'>523B</span>","children":null,"spread":false},{"title":"codelock.(5).cnf.hdb <span style='color:#111;'>1.63KB</span>","children":null,"spread":false},{"title":"codelock.map.qmsg <span style='color:#111;'>29.30KB</span>","children":null,"spread":false},{"title":"codelock(8).cnf.cdb <span style='color:#111;'>1.05KB</span>","children":null,"spread":false},{"title":"codelock.(4).cnf.cdb <span style='color:#111;'>5.05KB</span>","children":null,"spread":false},{"title":"codelock.cmp.rdb <span style='color:#111;'>20.64KB</span>","children":null,"spread":false},{"title":"codelock.(1).cnf.cdb <span style='color:#111;'>1.07KB</span>","children":null,"spread":false},{"title":"codelock_syn_hier_info <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"codelock.sld_design_entry.sci <span style='color:#111;'>150B</span>","children":null,"spread":false},{"title":"codelock(3).cnf.hdb <span style='color:#111;'>886B</span>","children":null,"spread":false},{"title":"codelock.psp <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"codelock.pre_map.hdb <span style='color:#111;'>11.68KB</span>","children":null,"spread":false},{"title":"codelock.asm.qmsg <span style='color:#111;'>1.13KB</span>","children":null,"spread":false},{"title":"codelock.(4).cnf.hdb <span style='color:#111;'>1.93KB</span>","children":null,"spread":false},{"title":"codelock.(3).cnf.hdb <span style='color:#111;'>908B</span>","children":null,"spread":false}],"spread":false},{"title":"codelock.MOD <span style='color:#111;'>775B</span>","children":null,"spread":false},{"title":"reg_multiplexer.vhd <span style='color:#111;'>772B</span>","children":null,"spread":false},{"title":"实验八数字电子密码锁的设计实现.doc <span style='color:#111;'>193.50KB</span>","children":null,"spread":false},{"title":"codelock.map.eqn <span style='color:#111;'>25.91KB</span>","children":null,"spread":false},{"title":"codelock.fit.summary <span style='color:#111;'>443B</span>","children":null,"spread":false},{"title":"codelock.fit.rpt <span style='color:#111;'>83.43KB</span>","children":null,"spread":false},{"title":"codelock.pin <span style='color:#111;'>29.47KB</span>","children":null,"spread":false},{"title":"codelock_assignment_defaults.qdf <span style='color:#111;'>34.14KB</span>","children":null,"spread":false},{"title":"codelock.fld <span style='color:#111;'>61B</span>","children":null,"spread":false},{"title":"codelock.tan.rpt <span style='color:#111;'>71.22KB</span>","children":null,"spread":false},{"title":"codelock.tan.summary <span style='color:#111;'>1.66KB</span>","children":null,"spread":false},{"title":"seven_segment.vhd <span style='color:#111;'>1.88KB</span>","children":null,"spread":false},{"title":"codelock.flow.rpt <span style='color:#111;'>3.66KB</span>","children":null,"spread":false},{"title":"key_becoder.vhd <span style='color:#111;'>2.30KB</span>","children":null,"spread":false},{"title":"codelock.sof <span style='color:#111;'>137.23KB</span>","children":null,"spread":false},{"title":"codelock.qpf <span style='color:#111;'>1.53KB</span>","children":null,"spread":false},{"title":"debouncing.vhd <span style='color:#111;'>1.46KB</span>","children":null,"spread":false},{"title":"codelock.vhd <span style='color:#111;'>3.69KB</span>","children":null,"spread":false},{"title":"codelock.qsf <span style='color:#111;'>3.41KB</span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • sunlightboy514:
    不错不错,我想要的是Verilog语言的,不过还行我还能看懂。。。资源不错2012-09-23
  • 折花怕扎手:
    不错不错,我想要的是Verilog语言的,不过还行我还能看懂。。。资源不错2012-09-23

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明