首页 课程资源 专业指导     /    i2cverilog

i2cverilog

上传者: a724721079 | 上传时间:2017/9/13 13:03:32 | 文件大小:174KB | 文件类型:rar
i2cverilog
用verilog描述的i2c代码二线I2CCMOS串行EEPROM的设计是根据I2C协议,以及EEPROMAT24C02的datasheet来进行设计的。
基于I2C的设计很多,归根到底是控制SDA线及SCL线来让设备间进行通信。
它有固定的帧格式。
本设计中Sda数据线与各模块是通过寄存器来进行数据的输入输出。
EEPROM模块是进行行为级描述的,它是根据具体芯片来写的模仿逻辑,不可综合。
EEPROM_WR是读写控制程序,是通过开关组合电路和控制时序电路组成的。
开关组合电路其实就是选择在SDA及DATA上的数据,根据信号来选择输出。
具体的控制时序电路就是一个状态机来完成。
Signal模块是测试用的,以前只接触过一些简单的testbench,在这次实验中,由于testbench理解的不透彻,用modelsim仿真的时候地址和数据线处于不定态,但是整个的时序是正确的。

文件下载

资源详情

[{"title":"(9个子文件174KB)i2cverilog","children":[{"title":"eeprom1","children":[{"title":"eeprom.v <span style='color:#111;'>3.66KB</span>","children":null,"spread":false},{"title":"读.png <span style='color:#111;'>60.96KB</span>","children":null,"spread":false},{"title":"top.v <span style='color:#111;'>582B</span>","children":null,"spread":false},{"title":"addr.dat <span style='color:#111;'>90B</span>","children":null,"spread":false},{"title":"data.dat <span style='color:#111;'>34B</span>","children":null,"spread":false},{"title":"eeprom_wr.v <span style='color:#111;'>15.54KB</span>","children":null,"spread":false},{"title":"写时序.png <span style='color:#111;'>64.25KB</span>","children":null,"spread":false},{"title":"signal.v <span style='color:#111;'>2.18KB</span>","children":null,"spread":false},{"title":"I2c设计实验报告.doc <span style='color:#111;'>68.50KB</span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

  • crystal_rachel:
    参考下谢谢了2018-08-27
  • qianbowen1988:
    仅供参考·······2018-07-09
  • aifei0826:
    还不错,可参考,不能直接用2018-05-10
  • cord6666:
    还不错,可以参考2016-06-08
  • qq303633:
    可以用,不够完善而已。2016-03-04

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明