首页 开发技术 硬件开发     /    基于FPGA的脉冲信号占空比丈量

基于FPGA的脉冲信号占空比丈量

上传者: zhenchi2006 | 上传时间:2023/4/14 0:43:26 | 文件大小:636KB | 文件类型:doc
基于FPGA的脉冲信号占空比丈量
学士毕业论文FPGA用quartus自己组的,不很美满,参考

文件下载

资源详情

-硬件开发

评论信息

  • hezhihao1234:
    资源很棒!建议以后大家分享资源都注明基于什么语言,嘿嘿2015-08-28
  • youpeng_20:
    程序资料很好,值得参考,方便移植。2015-02-28
  • leiyanrensmile:
    很详细,不错的资源2014-07-01
  • csugxh:
    可以参考的东西,不错。2014-06-18
  • 老存:
    很详细,值得一看。2014-06-05

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明