首页 开发技术 其它     /    8位乘法器,用verilog语言编写

8位乘法器,用verilog语言编写

上传者: shuangman51 | 上传时间:2023/7/26 9:44:48 | 文件大小:618KB | 文件类型:rar
8位乘法器,用verilog语言编写
用verilog语言编写的8位乘法器,完成了8位二进制的整数乘法,供大家参考

文件下载

资源详情

[{"title":"(84个子文件618KB)8位乘法器,用verilog语言编写","children":[{"title":"mult8","children":[{"title":"mult8.vwf <span style='color:#111;'>14.66KB</span>","children":null,"spread":false},{"title":"mult8.qws <span style='color:#111;'>90B</span>","children":null,"spread":false},{"title":"mult8.pof <span style='color:#111;'>512.18KB</span>","children":null,"spread":false},{"title":"mult8.map.summary <span style='color:#111;'>454B</span>","children":null,"spread":false},{"title":"mult8.pin <span style='color:#111;'>19.21KB</span>","children":null,"spread":false},{"title":"mult8.map.rpt <span style='color:#111;'>87.04KB</span>","children":null,"spread":false},{"title":"mult8.bsf <span style='color:#111;'>1.92KB</span>","children":null,"spread":false},{"title":"mult8.fit.summary <span style='color:#111;'>592B</span>","children":null,"spread":false},{"title":"db","children":[{"title":"mult8.fit.qmsg <span style='color:#111;'>71.42KB</span>","children":null,"spread":false},{"title":"add_sub_big.tdf <span style='color:#111;'>1.51KB</span>","children":null,"spread":false},{"title":"mult8.cmp_bb.hdb <span style='color:#111;'>16.20KB</span>","children":null,"spread":false},{"title":"mult8.(5).cnf.cdb <span style='color:#111;'>1.10KB</span>","children":null,"spread":false},{"title":"mult8.eds_overflow <span style='color:#111;'>2B</span>","children":null,"spread":false},{"title":"mult8.map.bpm <span style='color:#111;'>785B</span>","children":null,"spread":false},{"title":"mult8.map.ecobp <span style='color:#111;'>28B</span>","children":null,"spread":false},{"title":"mult8.sgdiff.cdb <span style='color:#111;'>20.57KB</span>","children":null,"spread":false},{"title":"mult8.map.logdb <span style='color:#111;'>4B</span>","children":null,"spread":false},{"title":"mult8.sld_design_entry_dsc.sci <span style='color:#111;'>154B</span>","children":null,"spread":false},{"title":"mult8.map.qmsg <span style='color:#111;'>14.19KB</span>","children":null,"spread":false},{"title":"mult8.sim.hdb <span style='color:#111;'>2.67KB</span>","children":null,"spread":false},{"title":"mult8.cmp_bb.rcf <span style='color:#111;'>22.60KB</span>","children":null,"spread":false},{"title":"mult8.(3).cnf.hdb <span style='color:#111;'>489B</span>","children":null,"spread":false},{"title":"mult8.(3).cnf.cdb <span style='color:#111;'>1.39KB</span>","children":null,"spread":false},{"title":"mult8.cmp_bb.logdb <span style='color:#111;'>4B</span>","children":null,"spread":false},{"title":"mult8.rtlv_sg.cdb <span style='color:#111;'>10.65KB</span>","children":null,"spread":false},{"title":"mult8.(5).cnf.hdb <span style='color:#111;'>557B</span>","children":null,"spread":false},{"title":"mult8.dbp <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"mult8.db_info <span style='color:#111;'>136B</span>","children":null,"spread":false},{"title":"mult8.(4).cnf.cdb <span style='color:#111;'>5.49KB</span>","children":null,"spread":false},{"title":"mult8.sld_design_entry.sci <span style='color:#111;'>153B</span>","children":null,"spread":false},{"title":"mult8.(1).cnf.cdb <span style='color:#111;'>1.85KB</span>","children":null,"spread":false},{"title":"mult8.(6).cnf.hdb <span style='color:#111;'>534B</span>","children":null,"spread":false},{"title":"mult8.asm.qmsg <span style='color:#111;'>1.91KB</span>","children":null,"spread":false},{"title":"wed.wsf <span style='color:#111;'>13.07KB</span>","children":null,"spread":false},{"title":"mult8.cmp_bb.cdb <span style='color:#111;'>33.02KB</span>","children":null,"spread":false},{"title":"mult8.eco.cdb <span style='color:#111;'>160B</span>","children":null,"spread":false},{"title":"mult8.rtlv.hdb <span style='color:#111;'>12.26KB</span>","children":null,"spread":false},{"title":"mult8.(0).cnf.cdb <span style='color:#111;'>6.75KB</span>","children":null,"spread":false},{"title":"mult8.hif <span style='color:#111;'>7.54KB</span>","children":null,"spread":false},{"title":"mult8.(6).cnf.cdb <span style='color:#111;'>1.09KB</span>","children":null,"spread":false},{"title":"mult8.cmp.ecobp <span style='color:#111;'>28B</span>","children":null,"spread":false},{"title":"mult8.sim.rdb <span style='color:#111;'>2.00KB</span>","children":null,"spread":false},{"title":"mult8.map_bb.hdb <span style='color:#111;'>15.93KB</span>","children":null,"spread":false},{"title":"mult8.map.hdb <span style='color:#111;'>15.93KB</span>","children":null,"spread":false},{"title":"mult8.(1).cnf.hdb <span style='color:#111;'>802B</span>","children":null,"spread":false},{"title":"mult8.sim.qmsg <span style='color:#111;'>2.11KB</span>","children":null,"spread":false},{"title":"mult8.syn_hier_info <span style='color:#111;'>0B</span>","children":null,"spread":false},{"title":"mult8.rtlv_sg_swap.cdb <span style='color:#111;'>2.16KB</span>","children":null,"spread":false},{"title":"mult8.cbx.xml <span style='color:#111;'>2.08KB</span>","children":null,"spread":false},{"title":"mult8.(4).cnf.hdb <span style='color:#111;'>1.75KB</span>","children":null,"spread":false},{"title":"mult8.map.cdb <span style='color:#111;'>18.18KB</span>","children":null,"spread":false},{"title":"mult8.hier_info <span style='color:#111;'>29.59KB</span>","children":null,"spread":false},{"title":"mult8.pss <span style='color:#111;'>429B</span>","children":null,"spread":false},{"title":"mult8.sgdiff.hdb <span style='color:#111;'>20.47KB</span>","children":null,"spread":false},{"title":"mult8.pre_map.cdb <span style='color:#111;'>10.99KB</span>","children":null,"spread":false},{"title":"mult8.pre_map.hdb <span style='color:#111;'>12.33KB</span>","children":null,"spread":false},{"title":"mult8.psp <span style='color:#111;'>3B</span>","children":null,"spread":false},{"title":"mult8.map_bb.logdb <span style='color:#111;'>4B</span>","children":null,"spread":false},{"title":"mult8.(2).cnf.cdb <span style='color:#111;'>1.81KB</span>","children":null,"spread":false},{"title":"mult8.map_bb.cdb <span style='color:#111;'>18.41KB</span>","children":null,"spread":false},{"title":"mult8.cmp0.ddb <span style='color:#111;'>249.88KB</span>","children":null,"spread":false},{"title":"mult8.tan.qmsg <span style='color:#111;'>98.63KB</span>","children":null,"spread":false},{"title":"mult8.cmp.rdb <span style='color:#111;'>7.48KB</span>","children":null,"spread":false},{"title":"mult8.sim.cvwf <span style='color:#111;'>1.09KB</span>","children":null,"spread":false},{"title":"mult8.merge.qmsg <span style='color:#111;'>2.00KB</span>","children":null,"spread":false},{"title":"mult8.(2).cnf.hdb <span style='color:#111;'>997B</span>","children":null,"spread":false},{"title":"mult8.(0).cnf.hdb <span style='color:#111;'>1.29KB</span>","children":null,"spread":false},{"title":"par_add_85f.tdf <span style='color:#111;'>10.55KB</span>","children":null,"spread":false}],"spread":false},{"title":"mult8.sim.rpt <span style='color:#111;'>6.83KB</span>","children":null,"spread":false},{"title":"mult8.sof <span style='color:#111;'>147.51KB</span>","children":null,"spread":false},{"title":"mult8.qsf <span style='color:#111;'>1.88KB</span>","children":null,"spread":false},{"title":"mult8.merge.rpt <span style='color:#111;'>7.67KB</span>","children":null,"spread":false},{"title":"mult8.vhd <span style='color:#111;'>1.07KB</span>","children":null,"spread":false},{"title":"parallel_add0.cmp <span style='color:#111;'>1.05KB</span>","children":null,"spread":false},{"title":"mult8.flow.rpt <span style='color:#111;'>4.13KB</span>","children":null,"spread":false},{"title":"mult8.fit.smsg <span style='color:#111;'>12.85KB</span>","children":null,"spread":false},{"title":"mult8.tan.rpt <span style='color:#111;'>198.93KB</span>","children":null,"spread":false},{"title":"mult8.tan.summary <span style='color:#111;'>1.57KB</span>","children":null,"spread":false},{"title":"mult8.asm.rpt <span style='color:#111;'>8.62KB</span>","children":null,"spread":false},{"title":"mult8.qpf <span style='color:#111;'>904B</span>","children":null,"spread":false},{"title":"parallel_add0.vhd <span style='color:#111;'>6.18KB</span>","children":null,"spread":false},{"title":"parallel_add0.bsf <span style='color:#111;'>3.10KB</span>","children":null,"spread":false},{"title":"mult8.fit.rpt <span style='color:#111;'>187.56KB</span>","children":null,"spread":false},{"title":"mult8.done <span style='color:#111;'>26B</span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • u014180225:
    有一些小错误,但还是很值得参考,感谢作者分享2015-01-22
  • llx110322:
    有一些小错误,但还是很值得参考,感谢作者分享2015-01-22
  • shixin_168:
    每个模块的功能介绍还不是很详细但还是感谢作者2013-07-30
  • shixin_168:
    每个模块的功能介绍还不是很详细但还是感谢作者2013-07-30
  • shuimunianhua5566:
    读起来费力,感谢分享还是2012-12-10
  • shuimunianhua5566:
    读起来费力,感谢分享还是2012-12-10
  • flyamo:
    注释用中文在modelsim里面显示的全是乱码啊,谢谢分享。2012-05-13
  • flyamo:
    注释用中文在modelsim里面显示的全是乱码啊,谢谢分享。2012-05-13
  • mnmeng:
    注释比较简单,读起来比较费力,感谢分享2012-02-24
  • mnmeng:
    注释比较简单,读起来比较费力,感谢分享2012-02-24

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明