首页 开发技术 其它     /    VHDL语言实现简易多功能信号发生器

VHDL语言实现简易多功能信号发生器

上传者: quaint87 | 上传时间:2023/7/19 22:33:55 | 文件大小:402KB | 文件类型:rar
VHDL语言实现简易多功能信号发生器
基于VHDL语言设计一个简易多功能信号发生器,通过选入输入信号,可以输出正弦波、三角波、方波和锯齿波四种波形信号。
信号发生器的控制模块可以用数据选择器实现,四种信号的信号选择可以用4选1数据选择器实现。
同时本设计使用原理图的方法,对正弦波、三角波、方波和锯齿波和4选1数据选择器元件进行调用。

文件下载

资源详情

[{"title":"(116个子文件402KB)VHDL语言实现简易多功能信号发生器","children":[{"title":"generater.fit.summary <span style='color:#111;'>377B</span>","children":null,"spread":false},{"title":"generater.vwf <span style='color:#111;'>17.29KB</span>","children":null,"spread":false},{"title":"generater.map.summary <span style='color:#111;'>295B</span>","children":null,"spread":false},{"title":"generater.tan.rpt <span style='color:#111;'>103.41KB</span>","children":null,"spread":false},{"title":"divider.bsf <span style='color:#111;'>1.74KB</span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":" <span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • .我心永恒_:
    一般般吧,只有源代码,新手谨慎上车,我是新手中新手2018-09-18
  • qq_27752767:
    正好在学习,很有用2015-11-08
  • zhangsxnet:
    用VHDL写的,正好用上。2014-05-02
  • angellinljjquanquan:
    谢谢你,但问题是为什么仿真出来的数据有问题呢2012-06-14

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明