首页 移动开发 其它     /    数字电位器MCP41010的spi接口的stm32例程

数字电位器MCP41010的spi接口的stm32例程

上传者: menghuanbeike | 上传时间:2023/11/13 23:16:09 | 文件大小:5.27MB | 文件类型:zip
数字电位器MCP41010的spi接口的stm32例程
该MCP41010的例程是本人写的,利用stm32的硬件spi来控制数字电位器,附件里有MCP41010的中文数据手册以及逻辑分析仪的设置,绝对可用。

文件下载

资源详情

-其它

评论信息

  • nhwdb:
    可以用谢谢,主要就是看datasheet的寄存器,和把spi协议弄懂。2018-05-18
  • nhwdb:
    可以用谢谢,主要就是看datasheet的寄存器,和把spi协议弄懂。2018-05-18
  • zyxdna:
    可以用谢谢,主要就是看datasheet的寄存器,和把spi协议弄懂。2018-04-14
  • zyxdna:
    可以用谢谢,主要就是看datasheet的寄存器,和把spi协议弄懂。2018-04-14
  • luoyouhui:
    学习可以!谢谢2018-03-25
  • luoyouhui:
    学习可以!谢谢2018-03-25

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明