首页 课程资源 C/C++     /    ADC0809C51单片机控制输入到串口显示proteus仿真图

ADC0809C51单片机控制输入到串口显示proteus仿真图

上传者: chenbeixin | 上传时间:2023/2/6 23:41:08 | 文件大小:72KB | 文件类型:rar
ADC0809C51单片机控制输入到串口显示proteus仿真图
51单片机控制ADC0809芯片读取外界环境信号,并把采样得到的数据发送到串口显示。

文件下载

资源详情

[{"title":"(20个子文件72KB)ADC0809C51单片机控制输出到串口显示proteus仿真图","children":[{"title":"0809串口打印","children":[{"title":"小白.lnp <span style='color:#111;'>57B</span>","children":null,"spread":false},{"title":"小白.Uv2 <span style='color:#111;'>2.16KB</span>","children":null,"spread":false},{"title":"小白.hex <span style='color:#111;'>1.96KB</span>","children":null,"spread":false},{"title":"ADC0809模数转换与显示_low_version.DSN <span style='color:#111;'>91.20KB</span>","children":null,"spread":false},{"title":"STARTUP.LST <span style='color:#111;'>13.72KB</span>","children":null,"spread":false},{"title":"Text1.c <span style='color:#111;'>4.75KB</span>","children":null,"spread":false},{"title":"小白 <span style='color:#111;'>9.31KB</span>","children":null,"spread":false},{"title":"小白_Opt.Bak <span style='color:#111;'>1.02KB</span>","children":null,"spread":false},{"title":"小白.M51 <span style='color:#111;'>14.30KB</span>","children":null,"spread":false},{"title":"ADC0809模数转换与显示.DSN <span style='color:#111;'>91.26KB</span>","children":null,"spread":false},{"title":"STARTUP.A51 <span style='color:#111;'>6.23KB</span>","children":null,"spread":false},{"title":"小白_Uv2.Bak <span style='color:#111;'>2.16KB</span>","children":null,"spread":false},{"title":"Text1.OBJ <span style='color:#111;'>9.98KB</span>","children":null,"spread":false},{"title":"小白.Opt <span style='color:#111;'>1.02KB</span>","children":null,"spread":false},{"title":"Text1.LST <span style='color:#111;'>14.67KB</span>","children":null,"spread":false},{"title":"STARTUP.OBJ <span style='color:#111;'>749B</span>","children":null,"spread":false},{"title":"LastLoadedADC0809模数转换与显示.DBK <span style='color:#111;'>91.21KB</span>","children":null,"spread":false},{"title":"ADC0809模数转换与显示_low_version.PWI <span style='color:#111;'>795B</span>","children":null,"spread":false},{"title":"小白.plg <span style='color:#111;'>598B</span>","children":null,"spread":false},{"title":"ADC0809模数转换与显示.PWI <span style='color:#111;'>795B</span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • qq_35178226:
    参考一下还是可以2018-05-28
  • homemode:
    资源一般,有些问题的2015-04-15
  • 棋子斗:
    ADC0809不能直接在proteus上面进行仿真,但是可以用ADC0808进行代替,效果还是不错的。2014-12-22
  • hnimkfpr:
    不是很好用,希望改进。2014-12-15
  • 唐唐A:
    蛮做参考下,还可以吧2014-12-05

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明