VerilogHDL作为两大硬件描摹语言之一,具备很大的用户群。
据视察,目前美国有90%左右的IC方案人员使用Verilog.在中国,大概再50%左右的人在使用Verilog。
之后数字芯片方案行业正处于薄弱回升期间,风头盖过了多少年前的软件方案业,己经成为电子以及IT类的高薪行业。
大宗高校毕业生以及部份软件方案人员正在络续涌入这个规模。
要想尽快在IC方案规模站稳脚跟,就必需要尽快操作HDL语言的方案方式。
2023/4/28 2:48:39 14.07MB Verilog HDL
1
基于SABER的DCDC反激变换器仿真SABER是美国Analogy公司开发、现由Synopsys公司经营的系统仿真软件,被誉为全球最先进的系统仿真软件,也是唯一的多技术、多领域的系统仿真产品,现已成为混合信号、混合技术设计和验证工具的业界标准,可用于电子、电力电子、机电一体化、机械、光电、光学、控制等不同类型系统构成的混合系统仿真,这也是SABER的最大特点。
SABER作为混合仿真系统,可以兼容模拟、数字、控制量的混合仿真,便于在不同层面上分析和解决问题,其他仿真软件不具备这样的功能。
 SABER仿真软件是当今世界上功能强大的电力电子仿真软件之一,我们从以下几个方面对SABER仿真软件进行介绍: 1) 原理图输入和仿真。
SABER Sketch是SABER的原理图输入工具,通过它可以直接进入SABER仿真引擎。
在SABER Sketch中,用户能够创建自己的原理图,启动SABER完成各种仿真(偏置点分析、DC分析、AC分析、瞬态分析、温度分析、参数分析、傅立叶分析、蒙特卡诺分析、噪声分析、应力分析、失真分析等),可以直接在原理图上查看仿真结果,SABER Sketch及其仿真功能可以帮助用户完成混合信号、混合技术(电气、液压等)系统的仿真分析。
SABER Sketch中的原理图可以输出成多种标准图形格式,用于报告、设计审阅或创建文档。
集成度高:从调用画图程序到仿真模拟,可以在一个环境中完成,不用四处切换工作环境。
 2) 数据可视化和分析。
Cosmos Scope是SABER的波形查看和仿真结果分析工具,它的测量工具有50多种标准的测量功能,可以对波形进行准确的定量分析。
它的专利工具——波形计算器,可以对波形进行多种数学操作。
Cosmos Scope中的图形也可以输出成多种标准图形格式用于文档。
Saber提供了SaberScope和DesignProbe来查看仿真结果,而SaberScope功能更加强大。
 3) 模块化和层次化:可将一部分电路块创建成一个符号表示,用于层次设计,并可对子电路和整体电路仿真模拟。
 4) 模拟行为模型:对电路在实际应用中的可能遇到的情况,如温度变化及各部件参数漂移等,进行仿真模拟。
 5) 模型库。
SABER拥有市场上最大的电气、混合信号、混合技术模型库,它具有很大的通用模型库和较为精确的具体型号的器件模型,其元件模型库中有4700多种带具体型号的器件模型,500多种通用模型,能够满足航空、汽车和电源设计的需求。
SABER模型库向用户提供了不同层次的模型,支持自上而下或自下而上的系统仿真方法,这些模型采用最新的硬件描述语言(HDL),最大限度的保证了模型的准确性,支持模型共享。
 6) 建模。
不同类型的设计需要不同类型的模型,SABER提供了完整的建模功能,可以满足各种仿真与分析的需求。
其建模语言主要有MAST、VHDL-AMS、Fortran,建模工具包括State-AMS、5维的图表建模工具TLU,SABER可以对SPICE、SIMULINK模型进行模型转换,同时SABER还拥有强大的参数提取工具,可以通过协同仿真实现模型复用。
SABER的混合信号、混合技术设计和验证能力已经得到了业界的验证,功能强大的原理图输入、仿真分析、模型库、建模语言、建模功能再加上先进的规划布线设计使SABER成为业界工程师的首选。
SABER的架构和独一无二的模型交换能力为市场上提供了最为强大的仿真工具,能够处理所有的仿真需求。
 与PSPICE相比,SABER是功能更为强大的仿真软件,它可以仿真电力电子元件、电路和系统,不仅具有PSPICE的功能,而且具有更丰富的元件库和更精致的仿真描述能力,还能结合数学控制方程模块工作。
SABER还可以仿真电力传动、机械、热力、流体等其他运动过程。
SABER的仿真真实性很好,从仿真的电路到实际的电路实现,期间参数基本不用修改。
与PSPICE相仿,SABER的数据处理量亦相当庞大。
SABER应用的主要困难是操作较为复杂,软件价格高昂,比较适合于大企业应用,而中小企业一般是通过委托研究、开发来利用该软件。
2023/2/8 3:07:18 61KB saber 反激
1
用Verilog演奏梁祝,里面有相关代码及原理引见
2023/1/31 16:39:32 3.68MB Verilog 乐曲 演奏
1
ACtiveHDL是一款很不错的仿真工具,界面十分敌对,很像vc。
网上流传很广的那个vhdl和verilog的英文动画教程就是他们做的。
这是我从网站上辛苦下载的最新的教程。
图文并茂,大家不妨看看
2017/8/6 17:54:47 14.61MB Active-HDL 教程
1
32位超前进位快速加法器经过Isim仿真测试正确的32位超前加法器编写言语Verilog-HDL基于zhaohongliang代码修改了其中部分有问题的模块
2015/7/16 18:55:50 4KB 加法器 Verilog
1
基于Verilog+HDL的通信系统设计@,需求的下载,有参考价值
2021/1/20 17:28:13 19.26MB verilog
1
32位超前进位快速加法器经过Isim仿真测试正确的32位超前加法器编写言语Verilog-HDL基于zhaohongliang代码修改了其中部分有问题的模块
2016/6/15 14:26:54 4KB Verilog 加法器
1
VERILOG_HDL_高等数字设计第2版,MichaelD.Ciletti著,李广军/林水生/阎波等译影印版,非常清晰
2016/3/27 9:11:01 232.51MB Verilog HDL VHDL Verilog
1
AltiumDesigner基于一个软件集成平台,把为电子产品开发提供完整环境所需的工具全部整合在一个应用软件中。
AltiumDesigner包含所有设计任务所需的工具:原理图和HDL设计输入、电路仿真、信号完整性分析、PCB设计、基于FPGA的嵌入式系统设计和开发。
另外可对AltiumDesigner工作环境加以定制,以满足用户的各种不同需求。
2017/7/2 19:58:26 9.78MB AD官方 帮助
1
Modelsimse是一款专业的HDL语言仿真软件,它能提供友好的仿真环境,是业界独一的单内核支持VHDL和Verilog混合仿真的仿真器。
它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
2016/11/14 22:10:26 500.68MB modelsim FPGA仿真
1
在日常工作中,钉钉打卡成了我生活中不可或缺的一部分。然而,有时候这个看似简单的任务却给我带来了不少烦恼。 每天早晚,我总是得牢记打开钉钉应用,点击"工作台",再找到"考勤打卡"进行签到。有时候因为工作忙碌,会忘记打卡,导致考勤异常,影响当月的工作评价。而且,由于我使用的是苹果手机,有时候系统更新后,钉钉的某些功能会出现异常,使得打卡变得更加麻烦。 另外,我的家人使用的是安卓手机,他们也经常抱怨钉钉打卡的繁琐。尤其是对于那些不太熟悉手机操作的长辈来说,每次打卡都是一次挑战。他们总是担心自己会操作失误,导致打卡失败。 为了解决这些烦恼,我开始思考是否可以通过编写一个全自动化脚本来实现钉钉打卡。经过一段时间的摸索和学习,我终于成功编写出了一个适用于苹果和安卓系统的钉钉打卡脚本。
2024-04-09 15:03 15KB 钉钉 钉钉打卡