首页 开发技术 硬件开发     /    Verilog数字系统课程设计出租车计价器

Verilog数字系统课程设计出租车计价器

上传者: jych101 | 上传时间:2024/2/1 7:10:09 | 文件大小:3.68MB | 文件类型:rar
Verilog数字系统课程设计出租车计价器
使用Verilog硬件描述语言编写的出租车计价器,编写环境为Quartusii9.0,硬件平台为CycloneEP1C6Q240C8.实现主要功能如下:-输入时钟为系统晶振50Mhz.-两个开关分别控制:开始/停止计费,出租车行进中/停止等待-一个开关控制所有数据的复位-两个开关组合控制显示4种数据:当前计价(单位:元,精确到角)/当前行进总距离(单位:千米,精确到10m)/当前等待时间(单位:分,精确到分)/起步价内行进距离(单位:千米,精确到10m,详见计费规则)-计费规则:起步价9元/3千米,超出起步价部分2.4元/千米,停车等待时间内1元/10分钟(不足10分钟不计费)。
注:在起步价9元范围内,可算作是3元/千米,此时停车等待产生的费用也按照1元/10分钟折算到起步价内;
即3元/千米的标准产生的行进费用与等待费用之和小于9元即视为起步价范围。
(eg.行进2千米,等待10分钟,总价为9元而非10元)作为Verilog硬件描述语言初学者的入门项目,主要内容包含分频器、计数器、计算与数码管显示模块的简单实现与应用,具有一定的参考价值。

文件下载

资源详情

[{"title":"(398个子文件3.68MB)Verilog数字系统课程设计出租车计价器","children":[{"title":"waittime_counter.v <span style='color:#111;'>423B</span>","children":null,"spread":false},{"title":"taxi_counter.sof <span style='color:#111;'>137.21KB</span>","children":null,"spread":false},{"title":"taxi_counter.qws <span style='color:#111;'>446B</span>","children":null,"spread":false},{"title":"taxi_counter_wave.vwf <span style='color:#111;'>36.32KB</span>","children":null,"spread":false},{"title":"eternal_clock.bsf <span style='color:#111;'>1.57KB</span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":" <span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明