首页 行业 电信     /    verilog16进制转10进制bcd码

verilog16进制转10进制bcd码

上传者: hanligengjianbo | 上传时间:2019/6/25 20:17:41 | 文件大小:3KB | 文件类型:txt
verilog16进制转10进制bcd码
将verilog16进制的数经过算法转化成bcd码,用于数码管显示。

文件下载

资源详情

评论信息

  • 风色幻想:
    很棒的教程,可以用2018-06-21
  • lzw3218:
    很不错的资源2017-10-11
  • haohao61661313:
    很实用的代码,谢谢分享2017-08-08
  • ar_donghao:
    可以用,领教算法了2016-05-20
  • qq_31145283:
    实用,效果很好,值得下载,减少了开发中的小麻烦2015-09-23

免责申明

【好快吧下载】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【好快吧下载】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【好快吧下载】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,8686821#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明